You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.
 
 
 
 
 
 

4 lines
146 B

#define IFX_ETH_INITIALIZE_EXTERN extern int danube_switch_initialize(bd_t *);
#define IFX_ETH_INITIALIZE(bd_t) danube_switch_initialize(bd_t);