diff --git a/package/firewall/files/reflection.hotplug b/package/firewall/files/reflection.hotplug index 027d2ed8b1..b3b5e5ecce 100644 --- a/package/firewall/files/reflection.hotplug +++ b/package/firewall/files/reflection.hotplug @@ -82,6 +82,9 @@ if [ "$ACTION" = "add" ] && [ "$INTERFACE" = "wan" ]; then [ "$proto" = tcpudp ] && proto="tcp udp" + [ "${inthost#!}" = "$inthost" ] || return 0 + [ "${exthost#!}" = "$exthost" ] || return 0 + local p for p in ${proto:-tcp udp}; do case "$p" in